+
Действующая цена700 499 руб.
Товаров:
На сумму:

Электронная библиотека диссертаций

Доставка любой диссертации в формате PDF и WORD за 499 руб. на e-mail - 20 мин. 800 000 наименований диссертаций и авторефератов. Все авторефераты диссертаций - БЕСПЛАТНО

Расширенный поиск

Встречное тестирование высокопроизводительных микропроцессоров

  • Автор:

    Чибисов, Петр Александрович

  • Шифр специальности:

    05.13.11

  • Научная степень:

    Кандидатская

  • Год защиты:

    2013

  • Место защиты:

    Москва

  • Количество страниц:

    174 с. : ил.

  • Стоимость:

    700 р.

    499 руб.

до окончания действия скидки
00
00
00
00
+
Наш сайт выгодно отличается тем что при покупке, кроме PDF версии Вы в подарок получаете работу преобразованную в WORD - документ и это предоставляет качественно другие возможности при работе с документом
Страницы оглавления работы

СОДЕРЖАНИЕ
ВВЕДЕНИЕ
ГЛАВА 1 ОБЗОР И АНАЛИЗ МЕТОДОВ И СРЕДСТВ ФУНКЦИОНАЛЬНОЙ ВЕРИФИКАЦИИ И ТЕСТИРОВАНИЯ СОВРЕМЕННЫХ МИКРОПРОЦЕССОРОВ
1.1 Обзор методов функциональной верификации
ЯТЬ-моделей разрабатываемых микропроцессоров
1.2 Обзор методов, применяемых для верификации
с использованием ПЛИС-прототипа
1.3 Обзор методов тестирования СБИС микропроцессоров
и их тестовых кристаллов
1.4 Метрики тестового (функционального) покрытия, применяемые при верификации моделей
1.5 Анализ текущего состояния проблемы
1.6 Постановка задачи для верификации разрабатываемых микропроцессоров повышенной надежности для
ответственных применений
ГЛАВА 2 МЕТОДИКА ТЕСТИРОВАНИЯ МИКРОПРОЦЕССОРОВ И ИХ ЯТЬ-МОДЕЛЕЙ СУЩЕСТВУЮЩИМ ПО ПОЛЬЗОВАТЕЛЯ
ПОД ОС
2.1 Методика тестирования существующим ПО под ОС на
разных уровнях абстракции модели
2.1.1 Этап 1: ЯТЬ-модель ядра микропроцессора
2.1.2 Этап 2: ЯТЬ-модель системы на кристалле
2.1.3 Этап 3: ПЛИС-прототип
2.1.4 Этап 4: тестовый кристалл, серийно выпускаемая
СБИС процессора

2.1.5 Функциональные ошибки микропроцессоров
2.2 Выбор репрезентативного набора тестов
2.3 Динамическое имитационное моделирование
(ко-симуляция) тестовых программ
2.4 Разбиение трасс объемных тестов на подпоследовательности
для параллельного выполнения
2.5 Методика поиска и локализации ошибок в ПЛИС,
тестовом кристалле
ГЛАВА 3 МЕТОД ПОВЫШЕНИЯ КАЧЕСТВА ТЕСТИРОВАНИЯ МИКРОПРОЦЕССОРОВ (ВСТРЕЧНОЕ ТЕСТИРОВАНИЕ) ДЛЯ ВЫДЕЛЕННОГО КЛАССА ЗАДАЧ
3.1 Фазы тестирования
3.2 Классификация и анализ задач пользователя
3.3 Количественное определение полноты тестирования
3.3.1 По графику вероятности возникновения ошибок
3.3.2 По покрытию тестами требований для конкретных
задач пользователя
3.3.3 По значениям функционального покрытия и покрытия кода, получаемым от САПР
3.3.4 Верификация, управляемая метриками тестового покрытия
3.3.5 Критерии готовности проекта к передаче в изготовление
3.4 Методика выбора набора тестов, учитывающая специфику определенного класса задач
3.5 Исследовательская модель пространства покрытия
3.6 Выбор метрик функционального покрытия инструкций МП
3.7 Примеры метрик функционального покрытия для суперскалярного микропроцессора
ГЛАВА 4 РЕЗУЛЬТАТЫ ПРИМЕНЕНИЯ РАЗРАБОТАННЫХ МЕТОДИК НА ПРАКТИКЕ
4.1 Опыт применения разработанных методик на практике
4.2 Спецификация верифицируемых микропроцессоров
4.3 Встречное тестирование микропроцессоров ВМ5, ВМ6, ВМ
4.4 Результаты применения разработанных методик
ЗАКЛЮЧЕНИЕ
ЛИТЕРАТУРА

реализуется направленный перебор всевозможных сочетаний тестовых ситуаций и зависимостей для последовательностей инструкций ограниченной длины. Как и все комбинаторные методы, он подвержен «комбинаторному взрыву» — размер тестов резко возрастает при увеличении длины тестируемых последовательностей инструкций, числа тестовых ситуаций и других параметров генерации. Для сокращения размера тестов используются дополнительные эвристики на основе экспертных оценок, таких как классификация и факторизация инструкций, ограничение числа и глубины зависимостей.
1.2 Обзор методов, применяемых для верификации с использованием ПЛИС-прототипа
Еще одним подходом, представляющим интерес в рамках данного обзора, является ко-верификация программной и аппаратной частей (hardware/software co-verification) системы на кристалле. На самом базовом уровне, термин «ко-верификация» означает проверку успешности работы программного обеспечения (в том числе под управлением различных операционных систем), создаваемого для системы на кристалле, на разрабатываемой аппаратуре данной системы до изготовления первого кристалла СБИС [46].
Ko-верификацию часто называют виртуальным прототипированием, так как воспроизводимая с помощью имитационного моделирования разрабатываемая аппаратура ведет себя так же, как и реальные аппаратные средства на отладочной плате, при этом процесс моделирования выполняется как программа на рабочей станции (либо на вычислительном кластере, либо с применением ПЛИС). Основные преимущества ко-верификации заключаются в следующем:
• у разработчиков ПО появляется возможность его отладки до физического изготовления СБИС микропроцессора, а значит,

Рекомендуемые диссертации данного раздела

Время генерации: 1.213, запросов: 967