+
Действующая цена700 499 руб.
Товаров:
На сумму:

Электронная библиотека диссертаций

Доставка любой диссертации в формате PDF и WORD за 499 руб. на e-mail - 20 мин. 800 000 наименований диссертаций и авторефератов. Все авторефераты диссертаций - БЕСПЛАТНО

Расширенный поиск

Моделирование формирования глубоких канавок в кремнии в Bosch-процессе

  • Автор:

    Шумилов, Андрей Станиславович

  • Шифр специальности:

    05.27.01

  • Научная степень:

    Кандидатская

  • Год защиты:

    2009

  • Место защиты:

    Москва

  • Количество страниц:

    178 с. : ил.

  • Стоимость:

    700 р.

    499 руб.

до окончания действия скидки
00
00
00
00
+
Наш сайт выгодно отличается тем что при покупке, кроме PDF версии Вы в подарок получаете работу преобразованную в WORD - документ и это предоставляет качественно другие возможности при работе с документом
Страницы оглавления работы


Оглавление
СПИСОК СОКРАЩЕНИЙ
ВВЕДЕНИЕ
ГЛАВА 1. ОБЗОР МЕТОДОВ И СИСТЕМ МОДЕЛИРОВАНИЯ ФОРМИРОВАНИЯ
МИКРОСТРУКТУР В КРЕМНИИ В ПРОЦЕССАХ ПЛАЗМОХИМИЧЕСКОГО ТРАВЛЕНИЯ И ОСАЖДЕНИЯ
1Л. Предмет и уровни моделирования. Общее представление о структуре модели
1.2. Классификация алгоритмов и методов моделирования
1.2.1. Математические способы расчёта потоков частиц плазмы
1.2.2. Способы представления профиля поверхности и его модификации
1.3. Описание и эволюция существующих подходов и систем моделирования
1.4. Постановка задачи
ГЛАВА 2. ПРЕДЛАГАЕМЫЙ МЕТОД МОДЕЛИРОВАНИЯ
2.1. Схема и краткое описание алгоритмов метода
2.2. Представление профиля поверхности методом ячеек
2.2.1. Расчёт поверхностных реакций
2.2.2. Расчёт атомного баланса в клетках
2.2.3. Алгоритмы удаления старых и добавления новых клеток
2.3. Математические основы метода
2.3.1. Генерация потоков частиц плазмы
2.3.2. Организация и представление данных модели
2.3.3. Построение цепочки поверхностных клеток
2.3.4. Вычисление точки пересечения частицы плазмы с поверхностью
2.3.5. Аппроксимация клеточной поверхности и её влияние на формирование профиля канавки
2.3.6. Отражение частиц от поверхности
ГЛАВА 3. МОДЕЛИРОВАНИЕ ТРАВЛЕНИЯ ГЛУБОКИХ КАНАВОК В ВОБСН-
ПРОЦЕССЕ
3.1. Описание циклического двухстадийного процесса глубокого травления Б1 в БЕо/СдЕз-плазме
3.2. Модели травления кремния и ФУП в плазме ББб

3.2.1. Травление кремния и ФУП радикалами фтора
3.2.2. Ионно-стимулированпое травление ФУП фтором
3.3. Модель осаждения ФУП
3.3.1. Химическое осаждение ФУП
3.3.2. Ионно-стимулированное осаждение ФУП
3.4. Размеры клетки и пределы плотности для создания и удаления клеток
3.5. Направленное травление, критический угол
3.6. Механизм зеркального отражения ионов
3.7. Моделирование глубокого травления кремния в Вовсй-процессе. Сравнение
с экспериментом
3.7.1. Параметры моделирования
3.7.2. Калибровка модели
3.7.3. Эксперимент. Сравнение результатов моделирования с экспериментальными данными
ГЛАВА 4. МОДЕЛИРОВАНИЕ ЭФФЕКТОВ ФОРМИРОВАНИЯ И УПРАВЛЕНИЕ
ПРОФИЛЕМ ГЛУБОКИХ КАНАВОК В ВОБСН-ПРОЦЕССЕ
4.1. Зависимость профиля канавки от частоты циклов Возсй-цроцесса
4.2. Анализ чувствительности модели к продолжительности стадии осаждения и вероятностям реакций
4.3. Моделирование канавок с разным наклоном стенок
4.4. Управление профилем канавок
4.5. Моделирование эффектов аспектнозависимого и аспектнонезависимого травления кремния
4.6. Моделирование эффекта возникновения микроигл на поверхности кремния
ГЛАВА 5. ПРОГРАММНОЕ ОБЕСПЕЧЕНИЕ ПРЕДЛОЖЕННОЙ МОДЕЛИ
5.1. Программный комплекс моделирования
5.2. Интерфейс пользователя и требования к программе
5.3. Представление данных модели в памяти и оптимизация быстродействия вычислений
ЗАКЛЮЧЕНИЕ
СПИСОК ИЛЛЮСТРАЦИЙ
СПИСОК ТАБЛИЦ
СПИСОК ИСПОЛЬЗОВАННЫХ ЛИТЕРАТУРНЫХ источников

Литература и научные статьи
Работы автора по теме диссертации
Литература по компьютерной графике Электронные ресурсы

Список сокращений
BSP - Binary Space Partitioning
CARDE - Compensated Aspect Ratio Dependent Etching
DRIE - Deep Reactive Ion Etching
EDPS - Etch-Deposition Profile Simulator
GDI, GDI+ - Graphical Display Interface
GUI - Graphical User Interface
HARS - High-Aspect-Ratio Structures
HDP-CVD - High Density Plasma-Chemical Vapour Deposition HPEM - Hybrid Plasma Equipment Model
ICPECVD - Inductively Coupled Plasma Enhanced Chemical Vapour Deposition
LPCVD - Low Pressure Chemical Vapor Deposition
LZW - алгоритм сжатия графических данных Lempel-Ziv-Welch
MDI - Multi-Document Interface
MERIE - Magnetically Enhanced Reactive Ion Etching
MC-FPM - Monte Carlo Feature Profile Model
OpenGL - Open Graphics Library
PCMCM - Plasma-Chemistry Monte Carlo Simulator
PECVD - Plasma Enhanced Chemical Vapour Deposition
PVD - Physical Vapour Deposition
RF - Radio-Frequency
RIE-lag - Reactive Ion Etching Lag
RLE - алгоритм сжатия Run-Length Encoding

Рис. 6. Траектория и точка пересечения частицы плазмы с клеткой поверхности.
травления фтором это будут молекулы 81Р, 81Р2, 81Т3, 81Р4. Совокупность таких клеток составляет поверхностный реакционный слой.
Атомная плотность и размер клетки выбирались, исходя из значения толщины реакционного слоя, приблизительно равного 2.5 нм [2, 35-37, 43]. Хотя на поверхности 81 при его травлении атомами фтора толщина реакционного слоя меньше и составляет 1-1.5 нм [2, 35, 99], можно полагать, что с учетом наличия на поверхности фторуглеродных (ФУ) радикалов ее толщина может быть и выше. В работах [35] и [36] при молекулярном моделировании предлагалось брать 32 и 64 атома в монослое 81(100) соответственно при размере стороны клетки в 22 А, что близко к выбранным нами значениям. При наличии ионной бомбардировки эти оценки могут измениться.
В отличие от струнного метода дискретное представление поверхности профиля несколько усложняет задачи по расчёту точки пересечения падающей частицы, точки вылета отражённой частицы и расчёт нормали к поверхности [7]. Для решения этих проблем использовались специальные алгоритмы аппроксимации клеточной поверхности, предназначенные для формирования граничной линии профиля или струны. Такая локальная линия профиля

Рекомендуемые диссертации данного раздела

Время генерации: 0.104, запросов: 967